-->
TMSY Tutorials
Pages
Contact Us
Terms and Conditions
Disclaimer
Privacy Policy
About Us
Total Pageviews
Search This Blog
Featured Post
Verilog Codes of all Gates
design compiler synthesis flow
design compiler synthesis flow - Copy
Develop HDL Files
Specify Libraries
Read Design
Define Design Environment
Set Design Constraints
Select Compile Strategy
Optimize the Design
Analyze and resolve design problems
Save the design database
Library Objects
link_library
target_library
symbol_library
synthetic_library
analyze
elaborate
read_file
set_operating_conditions
set_wire_load_model
set_drive
set_driving_cell
set_load
set_fanout_load
set_min_library
Design Rule Constraints
set_max_transition
set_max_fanout
set_max_capacitance
Design Optimization Constraints
create_clock
set_clock_latency
set_propogated_clock
set_clock_uncertainty
set_clock_transition
set_input_delay
set_output_delay
set_max_area
Top Down
Bottom Up
write
compile or compile_ultra
check_design
report_area
report_constraint
report_timing
Load Tech Libraries into database
Read, analyze & elaborate design
Define design environment parameters
specify design rules/constraints
compile & optimize design
(repeat as necessary)
Generate netlist and reports
Design Compiler Synthesis Flow
Older Post
Home
Blog Archive
30 Apr
(5)
29 Apr
(3)
22 Apr
(1)
21 Apr
(2)
20 Apr
(1)
16 Apr
(1)
15 Apr
(1)
14 Apr
(4)
08 Apr
(1)
04 Apr
(1)
26 Jan
(1)
01 Jan
(1)