-->

Search This Blog

Featured Post

Verilog Codes of all Gates

design compiler synthesis flow

design compiler synthesis flow - Copy
Develop HDL FilesSpecify LibrariesRead DesignDefine Design EnvironmentSet Design ConstraintsSelect Compile StrategyOptimize the DesignAnalyze and resolve design problemsSave the design databaseLibrary Objectslink_librarytarget_librarysymbol_librarysynthetic_libraryanalyzeelaborateread_fileset_operating_conditionsset_wire_load_modelset_driveset_driving_cellset_loadset_fanout_loadset_min_libraryDesign Rule Constraintsset_max_transitionset_max_fanoutset_max_capacitanceDesign Optimization Constraintscreate_clockset_clock_latencyset_propogated_clockset_clock_uncertaintyset_clock_transitionset_input_delayset_output_delayset_max_areaTop DownBottom Upwritecompile or compile_ultracheck_designreport_areareport_constraintreport_timingLoad Tech Libraries into databaseRead, analyze & elaborate designDefine design environment parametersspecify design rules/constraintscompile & optimize design (repeat as necessary)Generate netlist and reportsDesign Compiler Synthesis Flow

Blog Archive